New Tool: ProSource
Checkout our packaging and processing solutions finder, ProSource.

Nordson MARCH: Plasma treatment systems for semi-conductor applications

Nordson MARCH, a Nordson company launches its new SPHERE™ Series plasma systems for wafer-level and 3D packaging applications.

SPHERE™ Series plasma systems
SPHERE™ Series plasma systems

This content was written and submitted by the supplier. It has only been modified to comply with this publication’s space and style.

Based on Nordson MARCH's TRAK™ Series, the new series consists of the TrophoSPHERE™ and StratoSPHERE™ plasma treatment systems. The systems perform descum, ashing (photoresist/polymer stripping), dielectric etch, wafer bumping, organic contamination removal, and wafer destress. Both systems support automated handling and processing of round or square wafers and can process thin wafers with or without a carrier, depending upon the wafer thickness.

The SPHERE Series models are differentiated by the size of their chambers. TheTropoSPHERE system has the smallest chamber. It is designed for high-throughput processing of semiconductor wafers and other flat substrates in sizes ranging from 76 mm (3 in.) to 200 mm (8 in.) in diameter for wafers held in open cassettes. The StratoSPHERE plasma system handles semiconductor wafers up to 300 mm (12 in.) in diameter. Both series utilize a patented plasma chamber design that provides exceptional etch uniformity and process repeatability. The control architecture enables short plasma cycle times with very low overhead, ensuring that throughput is maximized and cost of ownership is minimized.

"Nordson MARCH's SPHERE Series is an easy way to eliminate problems caused by contaminants that result from the semiconductor manufacturing process," said Jonathan Doan, director of marketing, Nordson MARCH. "Our plasma treatment can be used for both wafer cleaning and a variety of etching, ashing, and descum steps along with contamination removal, surface roughening, increasing wettability, and enhancing bonding and adhesion strength.

Fill out the form below to request more information about Nordson MARCH: Plasma treatment systems for semi-conductor applications
New ebook focused on cartoning equipment
Read about the various types of cartoning equipment, how to select the right one, and common pitfalls to avoid. Plus, read equipment advice from CPGs for ultimate cartoning success.
Read More
New ebook focused on cartoning equipment
How Can You Honor a Leader?
Induction into the Packaging & Processing Hall of Fame is the highest honor in our industry. Submit your leader to be considered for the Class of 2024 now through June 10th. New members will be inducted at PACK EXPO International in Chicago
Read More
How Can You Honor a Leader?